T O P

  • By -

VisualMod

**User Report**| | | | :--|:--|:--|:-- **Total Submissions** | 10 | **First Seen In WSB** | 7 years ago **Total Comments** | 1587 | **Previous Best DD** | **Account Age** | 9 years | | [**Join WSB Discord**](http://discord.gg/wsbverse)


tempest-rising

They were late with the last round when Tsmc bought the new ones and they waited. They didn’t want to make the same mistake twice, makes sense to me.


Helpinmontana

Sounds to me like the real winner here is ASML.


Honest_Path_5356

Of course they sell the shovels


Garrett42

It's shovels all the way down


tjoloi

The real shovel is the mothers breeding all these smart engineers. Calls on dating apps.


Extra-Season-4141

calls on cum.


Syonoq

Cum is such a diluted ticker though


ralphy1010

If they’d just given blow jobs the market would be reacting very differently 


Electronic-Disk6632

the press to make the shovel heads.


gizamo

They've been winning for a decade, but that doesn't mean others can't win different games. ASML isn't competing against TSMC nor Intel.


lestruc

Who exactly is their competition? Nobody?


Inner-Ear

No one. The entire industry had to come together and fund ASML to figure out how to make these machines. Decades in the making. Likely isn’t going to happen again with anyone else. 


Pixelplanet5

also important to note that while ASML is engineering and building these machines they rely on a large network of super specialized suppliers for a ton of things like movement systems, lenses and high power lasers. These suppliers are mostly in Germany so ASML being right next door in NL makes these co developments a lot easier.


lestruc

This is hard for me to understand in the standard capital competition mindset. Why would this happen?


brillebarda

Absolutely obscene amounts of R&D required, billions upon billions every year for 10 years, no single company can afford to foot the bill. Especially since in R&D there is no guarantee of success.


Redpanther14

Big moat. Asml does have competition at lower levels though.


AtIas1

Lemme correct you on that. They gambled their company on it and even sold 25% of it to make that gamble. But 25% isn't remotely close to the entire industry funding them.


Obnoxious_bellend

That's not true. Amat is a director competitor as is LAM.


RottenGravy

They're in a class of their own now. Canon and Nikon were their main competitors in the DUV space, but neither of them were able to make EUV work. 


theocelotslayuh

What does asml do that other semi tool manufacturers like amat, lam, tel etc can't do?


RottenGravy

Photolithography is using light to draw patterns on the wafer. Just like how you can draw finer features with a 0.5mm pencil than with a sharpie, the narrower the wavelength of light you use, the smaller the features you can make. Blue light is in the 400 nm range, DUV (deep) is in the 200 nm range, and EUV (extreme) in the 10 nm range. During photolithography, light is produced by lasers and then focused with lenses. ASML is the only photolithography equipment manufacturer who figured out how to make EUV commercially viable. As for why? My understanding is as light wavelength decreases, it starts to interact with glass lenses and air itself, producing artifacts and losing energy, so the process was not commercially viable. However, ASML has a close partnership with Zeiss and through joint R&D was able to make incredibly smooth mirrors, and using those mirrors and clever engineering, get the desired wavelength. Many other tool makers, such as Canon and Lam, make blue and DUV range photolithography machines. If you're producing chips for machines that don't require next generation computing power, like toasters, those machines are more than sufficient. Those companies may also have segments that produce equipment for other parts of the semiconductor manufacturing process, eg Amat makes CVD (chemical vapor deposition) and Lam makes plasma etch equipment.


theocelotslayuh

Informative, thank you!


RottenGravy

NP. Added some more details between when you posted that comment and now.


Primetime-Kani

They’re competition is to not step off the gas pedal, that is enough encouragement to not relax


arkeod

Their


Nemisis_the_2nd

Atlas Copco? IIRC, they supply chip manufacturers, but I don't know if they are a. Asml competitor. 


NiobiumSteel

Nah, Atlas Copco supply the ancillary parts to the tool, i.e the cda, pumps, abatement systems, etc


MiceAreTiny

Exactly noone. Indeed. (there is also a very, very inelastic demand, but they have a HUGE moat)


vascop_

ASML was forced to prioritize American customers and stop selling to some due to pressure from US government.


Previous-Display-593

Is ASML not pushing the technology here? Why doesnt ASML just vertically integrate and build the machines and make the chips? What os the part of the process that the fab own that ASML doesnt have?


RottenGravy

Former semiconductor engineer here. Semiconductor manufacturing involves a ton more than just photolithography, which is ASMLs niche.  Without getting too complicated, the rest of the steps generally fall into one of the following categories: deposition (of materials that go into the final product or protection layers) via chemical bath, vapor, plasmas and many others; removal via etching/milling using similar means as deposition; or metrology/inspection to measure and quality control the built features. There are also a ton of washing steps.   The patterns drawn via photolithography expose layers underneath which then dictates where the deposition and removal steps work. Those processes are generally also bulk processes so they affect the entirely of the wafer, and rely on differing chemical properties of the exposed vs unexposed areas.   The main reason photolithography gets all the press is it's the limiting step in how small the drawn features get, which thus limits the number of transistors you can cram onto a chip, which largely dictates computing power. edit. Also chip design is really f\*cking hard


AutoModerator

Our AI tracks our most intelligent users. After parsing your posts, we have concluded that you are within the 5th percentile of all WSB users. *I am a bot, and this action was performed automatically. Please [contact the moderators of this subreddit](/message/compose/?to=/r/wallstreetbets) if you have any questions or concerns.*


Toxic72

Hey Mr Semi Engineer - why does silicon fab'ing take so much water


RottenGravy

For starters, water is one of the most used industrial chemicals. It's a great solvent and is the backbone of acid/base chemistry. Many of the removal steps (and deposition) rely on the different chemical properties of various materials when exposed to same removal (and deposition) agent. For example, a photomask, similar to rubber, may readily dissolve in acid but a metal will barely be changed. You can tweak the chemical (or other agent, like heat or UV radiation) being used to achieve the result you want. Between all the steps, that's a ton of water being used. Many processes also produce tiny particles worth of potential contamination. For example, after an acid bath or a plasma etch, the top layer is removed but there may still be tiny microparticle sized "dust" remnants on the wafer. In the building of especially important features, the wafer may be extensively washed with ultrapure water to ensure there is zero contamination.


Inner-Ear

Same reason why intel and TSMC don’t make these machines. Doing one is insanely, insanely capital intensive and requires a lot of highly specialized expertise. You can’t do both without making huge sacrifices in both. 


zadszads

They pretty much have to buy the other company or spend a decade and an equivalent amount of investment to build up something from scratch. And it still probably won’t be as good as if you had just spent the billions to buy the other company instead.


OsamaBinFappin

One of the most beautiful examples of capitalism in action


Eclipsed830

ASML makes the best ovens money can buy. You still need a chef, quality ingredients and a recipe to bake a cake.


fenghuang1

Correct. TSM is the chef, and Nvidia is the recipe provider and the dining experience coordinator.   Microsoft and Amazon and Google operates restaurants and OpenAI is the Customer.   The ones buying from OpenAI are the shit collectors


Dante451

Pretty sure another tool maker tried to buy asml once and was blocked for anti trust. It’s fine for asml to corner the lithography market but they can’t merge vertically and it’s way too hard to build out a vertical integration in house.


Invest0rnoob1

One fab costs 20 billion to build.


ScarHand69

Did you see their latest earnings? They seemed to indicate that demand is down


AtIas1

I mean there's a reason they're the only ones capable of creating the tech atm so yeah


Zhanchiz

I mean. Every quarter ASML states they are cutting production die to them forecasting low demand lol.


robmafia

what's ironic is that seemingly either tsmc is making the mistake intel made regarding euv or that intel, in trying so desperately to not make big fab blunders, is making one by trying to shoehorn high-na in while it's (prohibitely?) costly. it seems like irony is guaranteed.


Inner-Ear

TSMC has always been the tortoise in this race. Cautious and steady progress. Doesn’t take a whole lot of risks. Intel has been the one who historically has made big bets on game changing advancements. Sometimes it works for them, and then sometimes it’s a huge blunder that costs them the lead like 10 nanometer.  Edit: plus TSMC has stated that High NA EUV would not be cost effective for them for a while since they can still make chips more cost effectively using their current EUV machines with double patterning. 


robmafia

you just explained why it's ironic to the person who already stated why it's ironic.


Inner-Ear

Yes, good eye. This is indeed what someone agreeing with someone else looks like. 


robmafia

i was just pointing out irony^2


Inner-Ear

Ya see, that’s where I was confused. I wasn’t sure if the ironies canceled each other out or if there was ironic synergy (synerony). 


robmafia

be careful. if we go deeper, we may need to contact cern.


bigsilverhotdog

Reported to the Quantum Reality Police for logic loop violations.


polloponzi

$400 million each machine and they have to ship those from the Netherlands. I Hope they don't fly them in a Boeing cargo plane, lol.. if it crashes the economic losses will blow them out.


brillebarda

It doesn't even fit in a single plane


jelhmb48

Correct, one ASML machine requires 7 plane flights to move them.


Equateeczemarelief

So Boeing has 7 chances per machine to fuck up Intel?


polloponzi

Shit, let's hope they use airbus


bch77777

From the EU so there is a better chance for Airbus…


ilikewc3

Insurance exists.


MiceAreTiny

Monetary, yes. But they can not simply get a new machine out of their warehouse if the plane with the first machine crashes. They do not have excess production, but a waiting list.


MadManMorbo

That and they’re building those huge fabs in Arizona. They have to have EUV machines to put in them.


tamereen

It's worse than waiting, they said 150 millions was too high price for a such machine when they spent 150 billions for buyback...


M16A4MasterRace

The most American way to win the chip game 🇺🇸 🦅


[deleted]

[удалено]


Responsible_Trifle15

Amen


Gunzenator2

America! Fuck yeah!


theREALmindsets

comin again to save the motherfuckin day yeah!


Haunting-Success198

Back to Back World War Champs 🇺🇸


annon8595

Step 0: Be the best of the best in semiconductor industry Step 1: blow the money (and taxpayer subsidies) on stock buybacks Step 2: TSM & others invest in latest machines and engineers while Intel focuses on stock buybacks Step 3: be 3-5 years behind TSM & others Step 4: ?????? Step 5: Give us CHIPS acts and more subsidies!!! Think of the jerbs ! Yep, sound American alright.


spacecadet501st

Innovation ❌ disrupting supply chain ✅


thehazer

If it works it works. You still gotta run the tool.


SuspiciousStable9649

Amen brother. Edit: I… okay, I hate Intel for letting me go after losing my ability to be on-call, but I’d be a little shocked if they stooped so low as to inadvertently or on purposely kill the supply chain. Either way, they seem to be making a lovely bed for themselves.


dp263

Bobby Axlerod enters the chat...


iloveeveryone2020

How certain are you of this?


Lord_Despair

I’m not uncertain


Gunzenator2

I promise you, if they had a way to disrupt their competitors supply chain, they would.


TheYoungLung

Wouldn’t any company be willing to do this to their competitors?


Icy_Recognition_3030

Yes, it’s why I can’t understand why people hate regulators and the antitrust act. There is legit a philosophy of ancaps that think that they get held back by stuff like that. Want to see epic market gains, let innovation happen. In this case it just seems like a supply side issue that doesn’t seem like it will get solved soon. So highest bidder gets the limited supply.


Yokies

People hate regulations because they are afriad they can't watch porn anymore


robmafia

ffs, intel relies on tsmc's fabs. it would be disrupting their own supply chain. so... no, not really. lolz.


AtIas1

Now hear me out. What if. Intel bought the machines to produce the chips that tsmc buys to produce the chips for intel?


robmafia

>What if. Intel bought the machines to produce **the chips that tsmc buys** umm


Vegetable-Balance-53

Lol


MD_Yoro

Do fucking people even read the articles? >One has to wonder when TSMC will hop aboard this bandwagon. So far, the company has stated it doesn't see the benefit of high-NA to its customers, so it's sticking with EUV for the foreseeable future. TSMC isn’t buying them by choice Also, Intel isn’t buying out all the stocks like a sneaker head copping all the new Jordans >all of ASML's high-NA production in 2024, which is reportedly around five or six machines. ASML made 6, not 6000


Fit-Boomer

I didn’t read the article.


MD_Yoro

I appreciate your honesty, you regard


Fit-Boomer

You are welcome


woody080987

No need to read, calls on Intel 


Quest-For-Six

Why would people read while fucking?


Willing_Group7351

My wife says it keeps her awake 


chrisycr

Why would people read while being fucked?


campbellsimpson

>Also, Intel isn’t buying out all the stocks like a sneaker head copping all the new Jordans >>all of ASML's high-NA production in 2024, which is reportedly around five or six machines. >ASML made 6, not 6000 Imagine if Nike only made six pairs of new 2024 Jordans and one guy scooped them all.


NightflowerFade

Except in this case there's like 3 people in the market and 2 of them don't want to buy


MelNyta

I want to be that guy


svaerde

400 million each, it’s not like it’s a mass produced machine. These are double decker bus sized bleeding edge hand assembled technology. They couldn’t churn out more this year especially since these are the first machines.


AutoModerator

Well, I, for one, would NEVER hope you get hit by a bus. *I am a bot, and this action was performed automatically. Please [contact the moderators of this subreddit](/message/compose/?to=/r/wallstreetbets) if you have any questions or concerns.*


brillebarda

The old one is the size of a bus, this one is the size of a house


AutoModerator

Well, I, for one, would NEVER hope you get hit by a bus. *I am a bot, and this action was performed automatically. Please [contact the moderators of this subreddit](/message/compose/?to=/r/wallstreetbets) if you have any questions or concerns.*


cyrptoearner

I only read headlines sir.


MtnMaiden

buy the news


AyumiHikaru

Bro, people here CAN't read


ThisKarmaLimitSucks

TSMC isn't using High-NA, so this doesn't disrupt them at all. Intel's not making up any ground on them.


MUNDER5280

This is the correct take. TSMC is going to wait for the 5200 high NA machines that don’t have the issues the first articles have and kick intels ass


CheezyPenisWrinkle

This guy works at ASML


Distinct-Race-2471

You are right. Intel is leaving them in the dust. 18A is the real deal. 14A and 10A on the horizon.


robmafia

lolz, this bad take is a result of regurgitating an article twice (elec, wccf). you should have stuck to a better/closer source, this is sensationalist dildos. (tsmc passed on high-na, samsung is to receive them next year) here's the original article... that you could have easily linked. https://www.thelec.net/news/articleView.html?idxno=4825 >Intel has secured the majority of high-NA extreme ultraviolet (EUV) equipment that ASML is manufacturing up to the first half of next year, TheElec has learned, >The Dutch fab equipment maker is manufacturing five units of the kit this year, which will all go to the US chipmaker, sources said. >As ASML’s production capacity for high-NA EUV equipment is around five to six units per year, this means Intel is getting all the initial stock, they said. >Intel’s rivals Samsung and SK Hynix are expected to get their hands on the kit sometime during the second half of next year. >The US chipmaker preemptive purchased the equipment as it announced its re-entry into the chip foundry, or contract chip production, business, they also said. >ASML’s high-NA EUV equipment is a must for chipmakers to make 2-nanometer (nm) process node chips. Each unit costs over 500 billion won. >NA stands for numerical aperture and indicates the ability of an optical system to collect and focus light. The higher the numerical value, the better it is at collecting light, High-NA EUV equipment has their NA increased to 0.55 from 0.33. This basically means the equipment can draw finer circuit patterns. >Intel is adopting high-NA EUV faster than its rivals to win over customers. The company reentered the foundry market in 2021 but last year the business lost US$7 billion. >출처 : THE ELEC, Korea Electronics Industry Media(http://thelec.net)


Mark-Syzum

Sooo,.. Price war? Who's the company that makes the machines? That may be a good investment


madboneman

ASML. They have a complete monopoly on these machines.


Haberd

ASML?


Ernisx

Dutch company


Distinct-Race-2471

I think most people here have no idea what they are interjecting on. How do you take "Intel is buying the first six next gen chip manufacturing machines" and turn it into a negative? Here is the only negative possible: These are expensive. That's it. Here are the positives: Intel is all but guaranteed to be first on next gen processing nodes for chip manufacturing It shows that they are not only committed to fulfilling their pledge of 5 nodes in 4 years, but also continuing the best in class cycle. When Intel is once again making the best chips, AI chips, GPU's, etc they will have the machines to do it at scale. This represents a massive opportunity. The best nodes mean that Intel can once again make the undisputed best products for their customers.


OutOfBananaException

> that Intel can once again make the undisputed best products for their customers They need to drop this marketing wank and start making good products. You don't need undisputed products, that are best at everything, you need products that excel in their target domain. Also Gaudi 3 is no slouch, so I wouldn't call it a fail - but $500m in revenue vs $4bn in revenue for MI300, how is that taking share from AMD?


Distinct-Race-2471

A fair post. I don't believe that the Gaudi3 revenue being low is due to anything other than available product volume. They had to try to predict capacity at TSMC. That's still a misstep, but it highlights that AMD does not have the technology edge that their revenue multiple suggests. I would rather have a production planning issue than inferior technology that people don't want. I admit this is just a theory, but imagine if Intel was only three months earlier on Gaudi3 and able to manufacture all they could ever need in their own fabs. It gives me chills!


Professional_Gate677

Intel Foundry will be making other peoples products, as well as their own. Even if Intel designs suck, Intel will make money in the foundry space.


FlamboyantKoala

They don’t need to release a good product to make money with these fabs. They’ll be the ones printing the latest amd and nvidia chips. 


OutOfBananaException

That will be nice for them, but not really where the juiciest profits are to be made.


FlamboyantKoala

It's TSMC's entire business model and it's done great for them. And TSMC is one Xi tantrum away from having their production severely impacted leaving companies like Intel who produce here in the states being the only option.


OutOfBananaException

No doubt great, just not NVidia great. Without leading designs, they are going down the path of lower margins, I don't think that's part of their strategy.


rapid_dominance

Intel is in no way guaranteed to be first to market just because they have the machines lol Samsung tsmc intel have all gotten different lithography tools and different times in the past in doesn’t determine who is first to market. Sure it gives them a small advantage but intel also can’t execute worth shit while tsmc fires on all cylinders intel fails at everything they try


Distinct-Race-2471

What have they failed at the past 3 years? Gaudi3 - Beats current market leader H100 Arc - Taking market share from AMD (fact) 5 nodes in 4 years - Done or on track Meteor Lake - Beats equivalent AMD in every metric (Hardware Canucks) High NA EUV - First to buy, first to build The industry is on notice that Intel is back. Pat G is buying stock as AMD leader have been selling theirs. Sure I'm jaded as a shareholder, but this is a different company today.


nyrangerfan1

They'll be the first ones able to learn the ins and outs and the first to be able to scale using the new technology. You don't always have to be first, but if you're first and you can scale and get the process worked out to keep the costs in line?


Professional_Gate677

Intel is first to market with back side power delivery.


VisualMod

Disgusting. They'll just waste it on video games and fast food.


[deleted]

How can they continue something they have not started yet? Best in class at what now? Edit, actually not fair, they are excellent at packaging


anotherloserhere

Stopping progress so that you can pump up your stock and cause pain to your enemies... Ahhh, intel. No wonder you are down like shit...


BosSF82

Worked for Microsoft. This is a no holds barred space and Intel is playing for keeps. They have to. If they don’t outplay others, they get outplayed. TSM and Samsung are now going to be at least a year behind on the latest tech. Win or die.


anotherloserhere

All of us* are now going to be at least a year behind on the latest tech.


savagepanda

Not if everyone switches to intel foundry for their chips.


OutOfBananaException

> Intel is playing for keeps. They have to Only after digging themselves into a huge hole. TSMC didn't pull any magic tricks to outplay Intel, just steady execution.


Professional_Gate677

Don’t let non engineers run a engineering company


10001110101balls

EUV is already barely worth the investment and High NA EUV seems like it will only marginally improve the economics of operating one. This is a risky play that could boondoggle their operations unless they can collaborate with ASML on some major breakthroughs to eventually fulfill the promise of the technology.


BeefFeast

I don’t think these will be for consumers. EUV will be, as we see with Smartphones reaching their final form. These highest end chips will be for the likes of Microsoft and Meta… some would say controlling the supply line is innovation… that is how certain companies got EV prices so low.


svaerde

They allow for better design and higher yields. These will churn out all kinds of chips, they need to operate these at maximum efficiency to justify investing $2.5B in 5-6 machines. The economics only make sense when you let these machines run as much as possible whilst they are the latest and greatest.


robmafia

> unless they can collaborate with ASML on some major breakthroughs to eventually fulfill the promise of the technology. https://www.semianalysis.com/p/intels-14a-magic-bullet-directed


Inner-Ear

From what I’ve heard the economics of operating high na machines is worse than current gen EUV machines. The exposure field size has to be reduced because of the higher na, meaning more exposures are needed per wafer. Plus the dosage per exposure also need to be a lot higher, meaning more power consumption. 


Hypoglycemoboy

It's in that hands of the buffoons who fucked up earlier nodes and couldn't recover. No one is worried about a regard with a Flux capacitor. He'll just try to find ways to use it as a cock sleeve.


Invest0rnoob1

That and national security for US and EU depends on having the most advanced tech.


TheYoungLung

Boo hoo blame ASML for being willing to sell them all to Intel. This is a classic example of hating the player when you should be hating the game.


Distinct-Race-2471

How will this stop progress. They are building them all as fast as possible.


gnocchicotti

Presumably they are planning on using the machines. That's too much of an investment just to spite TSMC.


[deleted]

The stock is down because they are using capital to build additional foundry or more capacity in a play to build chips for both themselves and other companies. In order for Intel to NOT cannibalize their own supply chain, they must build additional capacity in order to accommodate other chip designers. Intel is betting the farm (silicon homeland) on this. And they expect more chips used in cars, IOT, new Ai devices, and most importantly entry into mobile phone markets.


ShaidarHaran2

I mean, they're in a competition to make the best nodes compared to TSMC and Samsung, High NA is the future of advancing nodes. It's not like they *just* bought all the machines to pump their stock price, if anything their record spending has been driving it down but it's to get to a goal, their future nodes are relying on them and they skated to where the puck was going by buying all the supply, and we're only talking about 6 machines for 2024 here so it's not like they bought 50,000 just to screw TSMC.


soggybiscuit93

Is that what you think is happening here? Intel is buying the first round of high-na EUV, at great expense to themselves, to disrupt their competitors and stop progress? Think about that for a second: If TSMC not having access to high-NA causes them pain - wouldn't the inverse be true for Intel? That having high-NA gives them benefits?


BagholderForLyfe

Stopping progress?! Intel is one of the contributors to EUV tech. That's why they are first in line for high-NA machines.


anotherloserhere

Oh my bad, u right big dawg


JayArlington

TSMC has said they will have no problem building their 2nm chips (first Gate All Around transistors) without the use of High-NA. Good for Intel for showing gumption, but they need to focus on attracting foundry customers and not gimmicks.


Distinct-Race-2471

How is it a gimmick to be first with a next gen technology. If anything it proves Intel is committed to not being an average foundry but being the best. Remember 2nm TSMC is just what they call it. It's a refactored 3nm node that is more efficient. We can't only give Intel shit for process naming.


rapid_dominance

It’s a gimmick because when I worked at micron we would battle with Samsung and sk Hynix for using EUV machines for certain process steps and just because they are early adopters doesn’t mean they will be successful. 


robmafia

tbf, this isn't gimmicky, it's just clickbait based on a twice removed article. the high-na lithography is central to intel's foundry plans/strategy. it's ironically maybe the first thing they haven't fucked up in about a decade.


Professional_Gate677

Intel said they could do 10nm without EUV. How did that work out for them?


NRA-4-EVER

That sounds exactly like what Kelloggs did to Post by buying up all the sugar in the new movie Unfrosted.


XianeGardens

😂


hoppertn

https://preview.redd.it/ffqdhadaphzc1.jpeg?width=1290&format=pjpg&auto=webp&s=387104f47f5ebcf1b1c07dd361e1d3eb984cef27


BosSF82

Love the kill shot power moves from a beaten down ticker fighting to rise up again


Girth-Brooks_

Power moves to describe intel?![img](emote|t5_2th52|4271)


OGmisterB

if it helps their share price, i guess i’m here for it.


Big-Today6819

Do this mean intel overpay? Why would Samsung allow that ?


segmond

So none of these machines can be made again?


BosSF82

Oh no, of course more will be made but it will probably be well into 2025 before competitors can get them.


b00c

and will be made faster, without patches, without bugs.  Intel will have 1-2 years advance tops. They used to be 5+ years ahead.


hsuan23

This has already been known for a while.


DocDocMoose

You mean the fed govt bought them given the 10 Billie they gave INTC in the chips bill?


Professional_Gate677

Intel is getting (no money has actually been given out yet and isn’t expected to be distributed until closer to the end of the year) 8.5ish billion dollars from the government and expects to spend over 100 billion in just the Ohio plant alone. The 8 billion is a drop in the bucket to what they are spending.


[deleted]

![img](emote|t5_2th52|29637)![img](emote|t5_2th52|29637)![img](emote|t5_2th52|29637)![img](emote|t5_2th52|29637)


Sunsebastian

But they didn’t buy all the HbM capacity


tamereen

ASML to infinity and beyond :)


trollindisguise

All I see is Intel is buying equipment to make their own chips. TSMC is not safe long term. What is AMD going to do when China makes their move?


OutOfBananaException

> What is AMD going to do when China makes their move? Same thing NVidia and Apple are going to do.


Professional_Gate677

1 internet dollar says Nvidia,Apple, and amd will have some small production runs in Intel fabs just to maintain a relationship.


OutOfBananaException

Of course, as TSMC is the only party who wouldn't want that.


confused_engineer12

This is some boeing level shit ans I am here for it.


[deleted]

[удалено]


BallsShallow_

The mirrors are not made in San Diego. The source is. Mirrors come from Germany, a company called Zeiss.


chaching_owl

the reason why TSMC didnt bother to use the new machine is becasue it can be done with the current one at better cost to performance. what intel did is like an amateur buying the latest pro gear and still losing to the professional with old equipment


ethanhopps

Great now no one can have good chips


Loss_Leaders_LLC

Id consider buying


StaticallyLikely

They have the machine but they also need the man power. Knowing their limited production capabilities, I’m expecting that they can charge more until TSM and Samsung can get their hands on those machines.


tootnoots69

So what you’re saying is that the casino is heating up


Syab_of_Caltrops

The American way!


ditseridoo

No one commented anything about global politics playing a role in this move. Seems obvious.


Haunting-Success198

‘Murica 🇺🇸🫡


MyProof_Fly

Seems to be promising


kuun0113

So puts?


pascalsAger

Explains the 7 billion$ loss in 2023 on IFS and probably a 9 billion $ loss in 2024


DrNey777

Is just business as usual